Bảng 5‑1 và Bảng 5‑2 miêu tả mạch trong Hình 5‑1 lần lượt bằng Verilog và VHDL.
Hình 5‑1. Sơ đồ mạch ví dụ 1
Bảng 5‑1. Miêu tả bằng Verilog cho mạch ví dụ 1
1
2 3 4 5 6 |
Module home_alarm (a,s,m);
Input [3:0] s; Input m; Output a; Assign a = (s[0]| s[1]| s[2]| s[3]) & m; endmodule |
Bảng 5‑2. Miêu tả bằng VHDL cho mạch ví dụ 1
1
2 3 4 5 6 |
Library ieee;
Use ieee.std_logic_1164.all; Entity home_Alarm is Port (s: in std_logic_vector (3 downto 0); M: in std_logic; A: out std_logic); End home_alarm; Architecture dataflow_model of home_alarm is Begin A <= (s(0) or s(1) or s(2) or s(3)) and m End dataflow_model; |